Search concepts
|
Selected filters
|
- 58,850 - 58,900 out of 79,961 results
Search results
-
Conference contribution
A 44.3% Peak PAE 25-GHz Stacked-FET Linear Power Amplifier IC with A Varactor-Based Novel Adaptive Load Circuit in 45 nm CMOS SOI
Sugiura, T., Fang, M. & Yoshimasu, T., 2021, 2021 IEEE Asia-Pacific Microwave Conference, APMC 2021. Institute of Electrical and Electronics Engineers Inc., p. 181-183 3 p. (Asia-Pacific Microwave Conference Proceedings, APMC; vol. 2021-November).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist
Yabuuchi, M., Nii, K., Tsukamoto, Y., Ohbayashi, S., Nakase, Y. & Shinohara, H., 2009 Nov 18, 2009 Symposium on VLSI Circuits. p. 158-159 2 p. 5205389. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
69 Citations (Scopus) -
A 45nm 2port 8T-SRAM using hierarchical replica bitline technique with immunity from simultaneous RAV access issues
Ishikura, S., Kurumada, M., Terano, T., Yamagami, Y., Kotani, N., Satomi, K., Nii, K., Yabuuchi, M., Tsukamoto, Y., Ohbayashi, S., Oashi, T., Makino, H., Shinohara, H. & Akamatsu, H., 2007 Dec 1, 2007 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers. p. 254-255 2 p. 4342740. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
12 Citations (Scopus) -
A 45nm 37.3GOPS/W heterogeneous multi-core SoC
Yuyama, Y., Ito, M., Kiyoshige, Y., Nitta, Y., Matsui, S., Nishii, O., Hasegawa, A., Ishikawa, M., Yamada, T., Miyakoshi, J., Terada, K., Nojiri, T., Satoh, M., Mizuno, H., Uchiyama, K., Wada, Y., Kimura, K., Kasahara, H. & Maejima, H., 2010 May 18, 2010 IEEE International Solid-State Circuits Conference, ISSCC 2010 - Digest of Technical Papers. p. 100-101 2 p. 5434031. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 53).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
33 Citations (Scopus) -
A 45nm low-standby-power embedded SRAM with improved immunity against process and temperature variations
Yabuuchi, M., Nii, K., Tsukamoto, Y., Ohbayashi, S., Imaoka, S., Makino, H., Yamagami, Y., Ishikura, S., Terano, T., Oashi, T., Hashimoto, K., Sebe, A., Okazaki, G., Satomi, K., Akamatsu, H. & Shinohara, H., 2007 Sept 27, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. p. 326-327+606+321 4242397. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
50 Citations (Scopus) -
A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment
Nii, K., Yabuuchi, M., Tsukamoto, Y., Ohbayashi, S., Oda, Y., Usui, K., Kawamura, T., Tsuboi, N., Iwasaki, T., Hashimoto, K., Makino, H. & Shinohara, H., 2008, 2008 Symposium on VLSI Circuits Digest of Technical Papers, VLSIC. Institute of Electrical and Electronics Engineers Inc., p. 212-213 2 p. 4586011. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
96 Citations (Scopus) -
A 4-GHz band ultra-wideband voltage controlled oscillator IC using 0.35 μm SiGe BiCMOS technology
Kurachi, S., Murata, Y., Ishikawa, S., Itoh, N., Yonemura, K. & Yoshimasu, T., 2007 Dec 1, Proceedings of the 2007 IEEE Bipolar/BiCMOS Circuits and Technology Meeting. p. 9-12 4 p. 4351827. (Proceedings of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 4Gpixel/s 8/10b H.265/HEVC video decoder chip for 8K Ultra HD applications
Zhou, D., Wang, S., Sun, H., Zhou, J., Zhu, J., Zhao, Y., Zhou, J., Zhang, S., Kimura, S., Yoshimura, T. & Goto, S., 2016 Feb 23, 2016 IEEE International Solid-State Circuits Conference, ISSCC 2016. Institute of Electrical and Electronics Engineers Inc., p. 266-268 3 p. 7418009. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 59).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
24 Citations (Scopus) -
A 4-phase cross-coupled charge pump with charge sharing clock scheme
Zhu, H., Huang, M., Zhang, Y. & Yoshihara, T., 2011, International Conference on Electronic Devices, Systems, and Applications. p. 73-76 4 p. 5959067Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
10 Citations (Scopus) -
A 530Mpixels/s 4096×2160@60fps H.264/AVC high profile video decoder chip
Zhou, D., Zhou, J., He, X., Kong, J., Zhu, J., Liu, P. & Goto, S., 2010, IEEE Symposium on VLSI Circuits, Digest of Technical Papers. p. 171-172 2 p. 5560311Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
16 Citations (Scopus) -
A 6.72-Gb/s, 8pJ/bit/iteration WPAN LDPC decoder in 65nm CMOS
Chen, Z., Peng, X., Zhao, X., Okamura, L., Zhou, D. & Goto, S., 2013, Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC. p. 87-88 2 p. 6509569Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 6.72-Gb/s 8pJ/bit/iteration IEEE 802.15.3c LDPC decoder chip
Chen, Z., Peng, X., Zhao, X., Xie, Q., Okamura, L., Zhou, D. & Goto, S., 2011, 2011 International Symposium on Integrated Circuits, ISIC 2011. p. 7-12 6 p. 6131868Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
5 Citations (Scopus) -
A 600MHz MTJ-based nonvolatile latch making use of incubation time in MTJ switching
Endoh, T., Togashi, S., Iga, F., Yoshida, Y., Ohsawa, T., Koike, H., Fukami, S., Ikeda, S., Kasai, N., Sakimura, N., Hanyu, T. & Ohno, H., 2011 Dec 1, 2011 International Electron Devices Meeting, IEDM 2011. p. 4.3.1-4.3.4 6131487. (Technical Digest - International Electron Devices Meeting, IEDM).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
11 Citations (Scopus) -
A 610 Mbin/s CABAC decoder for H.265/HEVC level 6.1 applications
Zhao, Y., Zhou, J., Zhou, D. & Goto, S., 2014 Jan 28, 2014 IEEE International Conference on Image Processing, ICIP 2014. Institute of Electrical and Electronics Engineers Inc., p. 1268-1272 5 p. 7025253Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 64-cycle-per-MB joint parameter decoder architecture for ultra high definition H.264/AVC applications
Zhou, J., Zhou, D., He, X. & Goto, S., 2009, ISPACS 2009 - 2009 International Symposium on Intelligent Signal Processing and Communication Systems, Proceedings. p. 49-52 4 p. 5383903Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 64-degree grid graph model of the time-continuous 4D objects
Yaku, T., Anzai, K., Yokota, K., Anada, K. & Miyadera, Y., 2015 Nov 23, Proceedings - 3rd International Conference on Applied Computing and Information Technology and 2nd International Conference on Computational Science and Intelligence, ACIT-CSI 2015. Institute of Electrical and Electronics Engineers Inc., p. 129-131 3 p. 7336049Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 65nm embedded SRAM with wafer-level burn-in mode, leak-bit redundancy and E-trim fuse for known good die
Ohbayashi, S., Yabuuchi, M., Kono, K., Oda, Y., Imaoka, S., Usui, K., Yonezu, T., Iwamoto, T., Nii, K., Tsukamoto, Y., Arakawa, M., Uchida, T., Qkada, M., Ishii, A., Makino, H., Ishibashi, K. & Shinohara, H., 2007 Sept 27, 2007 IEEE International Solid-State Circuits Conference, ISSCC - Digest of Technical Papers. p. 488-489+617+485 4242478. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 65 nm SoC embedded 6T-SRAM design for manufacturing with read and write cell stabilizing circuits
Ohbayashi, S., Yabuuchi, M., Nii, K., Tsukamoto, Y., Imaoka, S., Oda, Y., Igarashi, M., Takeuchi, M., Kawashima, H., Makino, H., Yamaguchi, Y., Tsukamoto, K., Inuishi, M., Ishibashi, K. & Shinohara, H., 2006, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers. p. 17-18 2 p. 1705290. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
43 Citations (Scopus) -
A 65 nm ultra-high-density dual-port SRAM with 0.71um2 8T-cell for SoC
Nii, K., Masuda, Y., Yabuuchi, M., Tsukamoto, Y., Ohbayashi, S., Imaoka, S., Igarashi, M., Tomita, K., Tsuboi, N., Makino, H., Ishibashi, K. & Shinohara, H., 2006 Dec 1, 2006 Symposium on VLSI Circuits, VLSIC - Digest of Technical Papers. p. 130-131 2 p. 1705344. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
20 Citations (Scopus) -
A 70-mm-long periodically-poled Mg doped stoichiometric LiNbO3 for low-threshold optical parametric generation
Maruyama, M., Hodoyama, K., Nakajima, H., Kurimura, S., Yu, N. E. & Kitamura, K., 2005, Optics InfoBase Conference Papers. Optical Society of AmericaResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 70-mm-long periodically-poled Mg doped stoichiometric LiNbO3 for low-threshold optical parametric generation
Maruyama, M., Hodoyama, K., Nakajima, H., Kurimura, S., Yu, N. E. & Kitamura, K., 2006, Optics InfoBase Conference Papers. Optical Society of AmericaResearch output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 70-mm-long periodically-poled Mg doped stoichiometric LiNbO3 for low-threshold optical parametric generation
Maruyama, M., Hodoyama, K., Nakajima, H., Kurimura, S., Yu, N. E. & Kitamura, K., 2005, 2005 Conference on Lasers and Electro-Optics, CLEO. Vol. 3. p. 1966-1968 3 p. CThY3Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A 75MHz MRAM with pipe-lined self-reference read scheme for mobile/robotics memory system
Kim, T. Y., Kimura, F., Matsui, Y., Yoshihara, T., Ooishi, T., Kihara, Y. & Hatanaka, M., 2006, 2005 IEEE Asian Solid-State Circuits Conference, ASSCC 2005. p. 117-120 4 p. 4017545Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A 7-round parallel hardware-saving accelerator for Gaussian and DoG pyramid construction part of SIFT
Qiu, J., Huang, T. & Ikenaga, T., 2010 Dec 29, Computer Vision, ACCV 2009 - 9th Asian Conference on Computer Vision, Revised Selected Papers. PART 3 ed. p. 75-84 10 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 5996 LNCS, no. PART 3).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A 820 mb/s baseband processor LSI based on LDPC coded OFDM for UWB systems
Ushiki, S., Nakamura, K., Shimizu, K., Wang, Q., Abe, Y., Goto, S. & Ikenaga, T., 2008 Dec 1, Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008. p. 297-300 4 p. 4708786. (Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A 92.6 % tuning range VCO utilizing simultaneously controlling of transformers and MOS varactors in 0.13 μm CMOS technology
Takigawa, Y., Ohta, H., Liu, Q., Kurachi, S., Itoh, N. & Yoshimasu, T., 2009 Nov 6, Proceedings of the 2009 IEEE Radio Frequency Integrated Circuits Symposium, RFIC 2009. p. 83-86 4 p. 5135495. (Digest of Papers - IEEE Radio Frequency Integrated Circuits Symposium).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
28 Citations (Scopus) -
A 98 GMACs/W 32-core vector processor in 65nm CMOS
He, X., Zhou, D., Jin, X. & Goto, S., 2011, Proceedings of the International Symposium on Low Power Electronics and Design. p. 373-378 6 p. 5993669Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A 995Mpixels/s 0.2nJ/pixel fractional motion estimation architecture in HEVC for Ultra-HD
He, G., Zhou, D., Chen, Z., Zhang, T. & Goto, S., 2013, Proceedings of the 2013 IEEE Asian Solid-State Circuits Conference, A-SSCC 2013. p. 301-304 4 p. 6691042Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
13 Citations (Scopus) -
A-A KD: Attention and Activation Knowledge Distillation
Gou, A., Liu, C., Sun, H., Zeng, X. & Fan, Y., 2021, Proceedings - 2021 IEEE 7th International Conference on Multimedia Big Data, BigMM 2021. Institute of Electrical and Electronics Engineers Inc., p. 57-60 4 p. (Proceedings - 2021 IEEE 7th International Conference on Multimedia Big Data, BigMM 2021).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
AAM fitting using shape parameter distribution
Shiraishi, Y., Fujie, S. & Kobayashi, T., 2012, Proceedings of the 20th European Signal Processing Conference, EUSIPCO 2012. p. 2238-2242 5 p. 6334037. (European Signal Processing Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A balloon actuator with a leaky valve for the generation of constant flow rate
Mukouyama, Y., Morimoto, Y., Habasaki, S., Okitsu, T. & Takeuchi, S., 2014, 18th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2014. Chemical and Biological Microsystems Society, p. 2199-2201 3 p. (18th International Conference on Miniaturized Systems for Chemistry and Life Sciences, MicroTAS 2014).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A bandwidth aggregation-aware QoS negotiation mechanism for next-generation wireless networks
Taleb, T., Fernandez, J. C., Hashimoto, K., Nemoto, Y. & Kato, N., 2007 Dec 1, IEEE GLOBECOM 2007 - 2007 IEEE Global Telecommunications Conference, Proceedings. p. 1912-1916 5 p. 4411277. (GLOBECOM - IEEE Global Telecommunications Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
16 Citations (Scopus) -
A bandwidth reduction scheme and its VLSI implementation for H.264/AVC motion vector decoding
Zhou, J., Zhou, D., He, G. & Goto, S., 2010, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). PART 2 ed. Vol. 6298 LNCS. p. 52-61 10 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 6298 LNCS, no. PART 2).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A basic construction concept for space structure systems using active connecting elements
Natori, M. C., Nagasawa, M., Yamada, J., Okuno, A., Yamakawa, H., Higuchi, K. & Kishimoto, N., 2015, 2nd AIAA Spacecraft Structures Conference. American Institute of Aeronautics and Astronautics Inc.Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A basic study on control strategy of hybrid powered railway vehicles with EDLC
Shibuya, H., Matsuo, K. & Kondo, K., 2008, 11th IEEE Workshop on Control and Modeling for Power Electronics, COMPEL 2008. 4634678. (11th IEEE Workshop on Control and Modeling for Power Electronics, COMPEL 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
6 Citations (Scopus) -
A Bayes coding algorithm using context tree
Matsushima, T. & Hirasawa, S., 1994 Dec 1, Proceedings - 1994 IEEE International Symposium on Information Theory, ISIT 1994. 1 p. 394633. (IEEE International Symposium on Information Theory - Proceedings).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A Bayes prediction algorithm for model class composed of several subclasses
Goto, M., Kobayashi, M., Mikawa, K. & Hirasawa, S., 2017 Feb 2, Proceedings of 2016 International Symposium on Information Theory and Its Applications, ISITA 2016. Institute of Electrical and Electronics Engineers Inc., p. 121-125 5 p. 7840398Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
ABCAS: Adaptive Bound Control of spectral norm as Automatic Stabilizer
Hirose, S., Maki, S., Wada, N., Katto, J. & Sun, H., 2023, 2023 IEEE International Conference on Consumer Electronics, ICCE 2023. Institute of Electrical and Electronics Engineers Inc., (Digest of Technical Papers - IEEE International Conference on Consumer Electronics; vol. 2023-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
ABCD: Analogy-Based Controllable Data Augmentation
Yang, S. & Lepage, Y., 2021, Theory and Practice of Natural Computing - 10th International Conference, TPNC 2021, Proceedings. Aranha, C., Martín-Vide, C. & Vega-Rodríguez, M. A. (eds.). Springer Science and Business Media Deutschland GmbH, p. 69-81 13 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 13082 LNCS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A beat-tracking robot for human-robot interaction and its evaluation
Murata, K., Nakadai, K., Takeda, R., Okuno, H. G., Torh, T., Hasegawa, Y. & Tsujino, H., 2008, 2008 8th IEEE-RAS International Conference on Humanoid Robots, Humanoids 2008. p. 79-84 6 p. 4755935Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
19 Citations (Scopus) -
A behavior-based reconfigurable cache for the low-power embedded processor
Ye, J., Jin, J. & Watanabe, T., 2011 Dec 1, Proceedings - 2011 IEEE 9th International Conference on ASIC, ASICON 2011. p. 1-5 5 p. 6157107. (Proceedings of International Conference on ASIC).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A BER performance-aware early termination scheme for layered LDPC decoder
Zhao, X., Chen, Z., Peng, X., Zhou, D. & Goto, S., 2010, IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation. p. 416-419 4 p. 5624881Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A better integration of fictionality into daily lives for achieving a digital-physical hybrid gameful world
Sakamoto, M. & Nakajima, T., 2015 Jul 27, Proceedings - 2015 20th International Conference on Control Systems and Computer Science, CSCS 2015. Institute of Electrical and Electronics Engineers Inc., p. 711-718 8 p. 7168504Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A better understanding of CNTs chemical purification and functionalization processes
Azhari, S., Hamidon, M. N., Hasan, I. H., Asnawi, M., Mohd Chachuli, S. A., Azhari, A. & Ismail, I., 2018 Oct 3, 2018 IEEE International Conference on Semiconductor Electronics, ICSE 2018 - Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 25-28 4 p. 8481287. (IEEE International Conference on Semiconductor Electronics, Proceedings, ICSE; vol. 2018-August).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
2 Citations (Scopus) -
A Bi-directional Multiple Timescales LSTM Model for Grounding of Actions and Verbs
Antunes, A., Laflaquiere, A., Ogata, T. & Cangelosi, A., 2019 Nov, 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2019. Institute of Electrical and Electronics Engineers Inc., p. 2614-2621 8 p. 8967799. (IEEE International Conference on Intelligent Robots and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
4 Citations (Scopus) -
A Big Data Management Architecture for Standardized IoT Based on Smart Scalable SNMP
Zhang, W., Dong, M., Ota, K., Li, J., Yang, W. & Wu, J., 2020 Jun, 2020 IEEE International Conference on Communications, ICC 2020 - Proceedings. Institute of Electrical and Electronics Engineers Inc., 9149368. (IEEE International Conference on Communications; vol. 2020-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
1 Citation (Scopus) -
A bilevel synthetic winery system for balancing profits and perishable production quality
Yu, H., Watada, J. & Li, J., 2015, Smart Innovation, Systems and Technologies. Springer Science and Business Media Deutschland GmbH, Vol. 39. p. 661-672 12 p. (Smart Innovation, Systems and Technologies; vol. 39).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
-
A bio-inspired, chemo-responsive polymer nanocomposite for mechanically dynamic microsystems
Hess, A., Dunning, J., Harris, J., Capadona, J. R., Shanmuganathan, K., Rowan, S. J., Weder, C., Tyler, D. J. & Zorman, C. A., 2009, TRANSDUCERS 2009 - 15th International Conference on Solid-State Sensors, Actuators and Microsystems. p. 224-227 4 p. 5285522Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
15 Citations (Scopus) -
A bio-inspired approach towards the development of soft amoeboid microrobots
Piovanelli, M., Fujie, T., Mazzolai, B. & Beccai, L., 2012, Proceedings of the IEEE RAS and EMBS International Conference on Biomedical Robotics and Biomechatronics. p. 612-616 5 p. 6290768Research output: Chapter in Book/Report/Conference proceeding › Conference contribution
7 Citations (Scopus) -
A bio-inspired evolutionary approach to identifying minimal length decision rules in emotional usability engineering
Kim, I. & Watada, J., 2009, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). PART 2 ed. Vol. 5712 LNAI. p. 181-187 7 p. (Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics); vol. 5712 LNAI, no. PART 2).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution